summaryrefslogtreecommitdiff
path: root/test/Object/TestObjectFiles
diff options
context:
space:
mode:
authorMichael J. Spencer <bigcheesegs@gmail.com>2011-01-20 06:39:15 +0000
committerMichael J. Spencer <bigcheesegs@gmail.com>2011-01-20 06:39:15 +0000
commitdb26073c6a00c2077a45e73c5d8c399550402afc (patch)
tree48b7c3d482564995adffbe0b60d3f9b38bfe24c9 /test/Object/TestObjectFiles
parent92e1deb05182c116bf39bec5f4df3769b5abc7f4 (diff)
downloadllvm-db26073c6a00c2077a45e73c5d8c399550402afc.tar.gz
llvm-db26073c6a00c2077a45e73c5d8c399550402afc.tar.bz2
llvm-db26073c6a00c2077a45e73c5d8c399550402afc.tar.xz
Object: Add some tests!
git-svn-id: https://llvm.org/svn/llvm-project/llvm/trunk@123899 91177308-0d34-0410-b5e6-96231b3b80d8
Diffstat (limited to 'test/Object/TestObjectFiles')
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.coff-i386bin0 -> 346 bytes
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.coff-x86-64bin0 -> 347 bytes
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.elf-i386bin0 -> 716 bytes
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.elf-x86-64bin0 -> 1024 bytes
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.macho-i386bin0 -> 552 bytes
-rw-r--r--test/Object/TestObjectFiles/trivial-object-test.macho-x86-64bin0 -> 552 bytes
6 files changed, 0 insertions, 0 deletions
diff --git a/test/Object/TestObjectFiles/trivial-object-test.coff-i386 b/test/Object/TestObjectFiles/trivial-object-test.coff-i386
new file mode 100644
index 0000000000..8cfd9949b1
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.coff-i386
Binary files differ
diff --git a/test/Object/TestObjectFiles/trivial-object-test.coff-x86-64 b/test/Object/TestObjectFiles/trivial-object-test.coff-x86-64
new file mode 100644
index 0000000000..077591482c
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.coff-x86-64
Binary files differ
diff --git a/test/Object/TestObjectFiles/trivial-object-test.elf-i386 b/test/Object/TestObjectFiles/trivial-object-test.elf-i386
new file mode 100644
index 0000000000..1a0ea40dfe
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.elf-i386
Binary files differ
diff --git a/test/Object/TestObjectFiles/trivial-object-test.elf-x86-64 b/test/Object/TestObjectFiles/trivial-object-test.elf-x86-64
new file mode 100644
index 0000000000..889f5d96a6
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.elf-x86-64
Binary files differ
diff --git a/test/Object/TestObjectFiles/trivial-object-test.macho-i386 b/test/Object/TestObjectFiles/trivial-object-test.macho-i386
new file mode 100644
index 0000000000..099bd1ebf2
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.macho-i386
Binary files differ
diff --git a/test/Object/TestObjectFiles/trivial-object-test.macho-x86-64 b/test/Object/TestObjectFiles/trivial-object-test.macho-x86-64
new file mode 100644
index 0000000000..93eeb5dece
--- /dev/null
+++ b/test/Object/TestObjectFiles/trivial-object-test.macho-x86-64
Binary files differ